微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > ncverilog命令与irun命令有什么区别?

ncverilog命令与irun命令有什么区别?

时间:12-12 整理:3721RD 点击:
以前一直用三步式命令ncvlog+ncelab+ncsim,现在用irun命令,发现有的人只用一个ncverilog也可以跑,请大牛回答这两个命令有什么区别

ncverilog只支持Verilog
irun支持各种HDL语言,它会根据source file的后缀名来自动调用相应的compile command(比如ncvlog、ncvhdl等),各种语言的后缀名可以自定义

irun可以把.v .vhdl .sv .c .cpp等东西放在一起做

分别键入两个命令,在usage里都提示可以使用verilog,sv,vhdl,e,system-c,c,c++等语言
ncverilog xor.v bot.vhd xor_verify.e  这是系统列出的例子

irun手册里写着 because irun supports all features of ncverilog, including its command-line options, Cadence is replacing ncverilog with irun.Beginning with the IUS 8.1 release, using the ncverilog command will invoke irun.  这是在9.2的irun user guide里找到的

看看ius里的bin目录,ncverilog是个符号链接,指向irun

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top