微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > cadence irun大一统cadence功能仿真工具

cadence irun大一统cadence功能仿真工具

时间:12-12 整理:3721RD 点击:
rt
这事儿大家知道吗,我刚刚听说。
我们知道,cadence的verilog功能仿真工具一般有ncvlog, ncelab和ncsim三种。做功能仿真的时候,可以用三命令模式(ncvlog, ncelab, ncsim)仿真case,也可以用单命令模式(ncverilog)仿真。
三命令模式很繁琐,这,大家应该深有体会,所以单命令模式是趋势。
irun支持ncverilog的所有features,包括它的command-line options,因此cadence用irun替换了ncverilog,从IUS8.1开始,使用ncverilog命令将直接调用irun命令。

果然是我最out了,这一年一直用的是三命令模式。

哈哈,你是紧跟技术潮流呀。我还要多多注意业界动态。

Native Compile,意思是先将(不同的)HDL code转译成一种中间语言(即Native Language),然后才统一进行仿真,这样做的目的是为了实现mix-language simulation。

不一样的,ies/ius都是simulator,irun只是个script,能根据源程序的类型(如Verilog、VHDL等)自动call相应的编译程序(如ncvlog、ncvhdl等),然后依次是elaborate、simulate。

学习了,,,

到现在还是不很理解ams方针时怎么将digi和analog连接起来的

集成环境,这几天正在把HAL集成到我们flow env里

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top