微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 请问在always的敏感列表里对普通信号用posedge

请问在always的敏感列表里对普通信号用posedge

时间:12-12 整理:3721RD 点击:
例如 always @(posedge a)
这样会综合出来还是普通的组合电路吗?
谢谢    

具体参考IEEE 1364.1
5.1 Modeling combinational logic
“When using an always statement, the event list shall not contain an edge event (posedge or negedge)......”
5.2 Modeling edge-sensitive sequential logic
“Sequential logic shall be modeled using an always statement that has one or more edge events in the event list.......”

被这么搞,很多工具会把你的a理解成时钟,真要做上升沿触发的组合逻辑自己做个沿就是了

很正常的用法吧,上沿做时钟,你再同步reset一下呗。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top