微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > icarus-gtkWave很好用

icarus-gtkWave很好用

时间:12-12 整理:3721RD 点击:
折腾OpenRISC的时候,发现了这两个东西
小巧啊
不像商业的那些,动不动就几百M
icarus才900+K
太NB了

Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code writen in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. For synthesis, the compiler generates netlists in the desired format.
好像不支持。。。。。。

icarus有些corner case处理不好,尤其一些库文件,不过rtl级还行。
gtkwave那个用过debussy verdi,再用这个就不能忍了

verdi双击波形可以跳转到debussy的RTL里面
就知道现在的信号是谁驱动的
gtkWave似乎是不行的

哥们,你学习OpenRISC是公司项目啊,还是自己在学习?

恩。我读书的时候,就是用这两个工具。
第一个,可以将rtl生成vrm格式,可以用于做别的事情。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top