微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > vcs dump fsdb波形出现问题

vcs dump fsdb波形出现问题

时间:12-12 整理:3721RD 点击:
在后仿中,dump fsdb波形,跑了大概200M的波形文件的时候,波形文件一直在增大,可是
波形却一直没有更新一直在这个点,有高手知道是怎么回事吗?

不是,是根本就没有后面的波形,time一直保持不变

仿真有没有加timing信息?  
仿真时间不走的话 就是这个现象    
看看是不是有死循环了哦    

也没发现死循环,因为时间够长的话,还是可以dump后面的波形的,只是总会在这个点停
顿:只见文件增大,不见波形time增加。

用Verdi的PLi去dumpfsdb吗?
为了排除是PLI出错造成的,我建议你dump一下VPD看看是不是也有类似的情况。
如果VPD没有问题的话,那就应该是PLI哪里出错了。
如果VPD也有类似的问题,那应该是你代码哪里有问题吧。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top