微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 三八译码器出现奇怪的问题

三八译码器出现奇怪的问题

时间:10-02 整理:3721RD 点击:
大家给看看下面这个代码有什么问题,编译通不过,用的环境是ISE14.2
module cct(
    input [2:0]mcuio,
    output[7:0]cpldout
    );
         
input[2:0] mcuio;
output[7:0] cpldout;
reg[7:0] cpldout;
always@(mcuio)
begin
      case(mcuio[2:0])
                    3'b000:cpldout=8'b00000001;
                    3'b001:cpldout=8'b00000010;
                    3'b010:cpldout=8'b00000100;
                    3'b011:cpldout=8'b00001000;
                    3'b100:cpldout=8'b00010000;
                    3'b101:cpldout=8'b00100000;
                    3'b110:cpldout=8'b01000000;
                    3'b111:cpldout=8'b10000000;
                    default:cpldout=8'b00000001;
           endcase
end
endmodule


为啥有两个input 和 output 你这是两个标准的混合版了吧。

恩恩,已改,重复定义了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top