微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 硬件工程师文库 > 德州仪器AMC1204的应用指南

德州仪器AMC1204的应用指南

时间:05-17 来源:电子发烧友网 点击:

  摘要

  AMC1204 是一款二阶隔离Delta-Sigma 调制器,由于其出色的直流特性、交流特性及隔离特性,广泛应用在通信电源系统、逆变器、整流器、UPS 及电机控制中,可实现系统电源电压及电流的检测和监控。本文简单介绍了AMC1204 的工作原理,重点讨论输入采样电阻阻抗对AMC1204 转换精度的影响以及调制器输出滤波器的设计。

  1 AMC1204 简介

  AMC1204 是一款二阶隔离Delta-Sigma 调制器。如图1 所示,它使用TI 特有的电容隔离技术,满足UL1577,IEC60747-5-2 及CSA 认证标准,隔离工作电压可达1200Vpeak,瞬间隔离电压达4000Vpeak,共模瞬态抑制在15KV/us 以上。电容隔离器件具有同电感隔离器件相比更高的磁场抗扰性及可靠性,同光耦隔离器件相比更低的功耗等这些显著的优点,同时AMC1204 内部集成了高精度的Delta-Sigma 调制器,电路设计简单,被广泛应用于通信电源系统、逆变器、整流器、UPS 及电机控制等场景。

  

  图1 AMC1204 内部示意框图

  AMC1204 具有出色的直流特性,DNL 误差小于1LSB,INL 误差最大为8LSB(-40℃至85℃),失调误差1mV,增益误差小于2%。如果将失调和增益误差校正后,不考虑电源及温度的影响,由ADC 引入的误差仅为0.012%。此外,AMC1204 也表现出了不错的交流特性, SNR 可达88dB,THD 可达-96dB,有效位数ENOB可达14bit(OSR=256, 滤波器)。因此,AMC1204 可以满足绝大多数高精度系统的使用要求。图2 是在通信系统电源中的一个检测电压和电流的典型应用电路,该电路使用两片AMC1204 实现对48V 电源的电压监测及负载电流检测,输出数字信号给后端进行处理,不需要额外使用隔离器件,应用电路设计更简单、更可靠。

  

  图2 48V 通信电源电压/电流检测电路

  1 外围电路设计对转换精度的影响分析

  在电路设计中,影响AMC1204 转换精度主要是外围电路的电阻取值,尤其在通过电阻分压电路测试电压值的应用场景中。下面分析输入采样电阻对AMC1204 转换精度的影响。

  1.1 输入等效电路及分析

  AMC1204 的输入等效电路如图3 所示,在一个时钟周期内,完成一次采样/放电,输入等效电阻 。当AMC1204 的输入时钟频率为5MHz 时,等效阻抗约为50KΩ;当输入时钟频率为20MHz 时,等效阻抗约为12.5KΩ。如果待测信号的阻抗较大,则输入等效阻抗的影响不能忽略,因为这将可能严重影响到ADC 转换的精度。因此,实际使用时,需要根据系统的性能要求,选择满足测量精度的采样电阻值。

  

  图3 AMC1204 输入等效电路

  以图4 所示电路为例, 如果不考虑器件输入等效电阻的影响, 输入差分电压理论值为

   。考虑到输入等效电阻, 实际输入差分电压应为

  

  图4 AMC1204 测试电路

  以 为例,则当时,由输入等效电阻引入的误差约为0.96%;当 时,由输入等效电阻引入的误差约为0.1%。因此,实际应用时,如果要系统精度达到1%以上,则需要采样电阻的阻抗小于0.01,以尽量减小由输入采样电阻引入的误差。

  1.1 电路设计例子

  使用图4 所示电路测量不同输入采样电阻和时钟频率情况下AMC1204 转换精度。实验使用作为调制器后端的硬件滤波器,滤波器配置为型,过采样率(OSR)设置为256。实验结果如表1 所示。是输入电压的目标值,是VINP 管脚与VINN 管脚之间电压实测值,  是VINP 管脚与VINN 管脚之间电压理论计算值(考虑到输入阻抗的影响), 是由调制器的输出经滤波器后的转换结果。

  1.1 小结

  以上实验结果可以看出,实测的输入电压值与考虑到输入阻抗计算得到的理论值基本一致,实验得到的数据与理论分析基本吻合。输入时钟频率直接决定了AMC1204 的输入阻抗,输入采样电阻值相对于AMC1204 的输入阻抗越低,输入采样电阻的影响就会越小。因此,在一些测量电压的应用场景下,如果采样电阻值无法减小,可以使AMC1204 工作在较低的时钟频率以提高输入阻抗,获得较高的转换精度。当然,降低输入时钟频率是以牺牲转换速率为代价的。此外,如果无法通过降低输入时钟频率和输入采样电阻的方式提高精度,还可以通过软件方法对AMC1204 的失调误差和增益误差进行校正,即对表1 中的误差指标进行额外补偿,以提高系统精度,获得最佳的系统性能。

  2 调制器输出滤波的设计

AMC1204 输出1 比特位宽由0 和1 组成的数据流,数据流中1 的密度与模拟输入电压成正比。当输入电压为250mV 时,输出1 的比例为89.0625%;当输入电压为-250mV 时,输出1 的比例为10.9375%;当输入电压为0mV 时,输出1 的比例为50%。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top