微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > 天线设计和射频技术 > How i can send Image or Text file to FPGA??

How i can send Image or Text file to FPGA??

时间:04-06 整理:3721RD 点击:
How i can send Image or Text file to FPGA??

More details please ..
what is the source of the text file ? PC ? Memory ?
what is system configuration ? connection .. memory .. peripherals etc
what is the final objective of the system ? what is the system meant to achieve or accomplish

Thanks alot for instant reply.
Source of the text file can be any drive in PC.
System connection? I didnt get that.
I am trying to modify the existing RC4 algorithm for encryption.
And the input file to that algorithm i want is text file or world file.
I will use spartan 2 board for the final system output.

by connection i meant interface .. could be interface to PC .. or memory .. or peripherals
mentioning the Spartan II board makes it clear to some extent
if your text file is fixed .. u can encode it in your program ..
if the text file needs to be changing .. you can use serial port interface to send data to FPGA

Thanks alot dear amu............

But the thing is that how i can synchronise it with the data bus of encryption algorithm. Do i need to store it in RAM of FPGA board? And how i can convert the text file into binary for serial transmission? Do i need to use some C coding for the same.

if you plan to receive data over serial port .. you need to convert it to binary .. and save it in RAM
for transmitting the file you can use some serial communication application like TeraTerm

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top