微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > cadence混合信号仿真,verilog-AMS代码写好后提示找不到ncvlog

cadence混合信号仿真,verilog-AMS代码写好后提示找不到ncvlog

时间:10-02 整理:3721RD 点击:
各位大大,请教cadence进行混合信号仿真时,使用verilog-AMS HDL写好代码,:x!保存后提示如下图所示错误,请问如何解决?




我收集了一下有关信息,解决方法指向了 安装 IUS
请问能否解释一下这个IUS和cadence ic6151的关系?
为什么veriloga写的代码可以直接在cadence下使用spectre仿真,
而verilog-AMS就不行?
谢谢。

我也存在这样的问题

如果你是要做数模混合仿真,不是应该用verilog写,建成view名为functional么……我也遇到这个问题了,现在正在解决,据说下载一个IUS就好了……小编可以试试……如果小编解决了,麻烦站内信我,给我提供一下解决方法,谢啦……

IUS是数字/混合信号仿真器,IC51是模拟的VerilogA(*.va)是analog domain的,spectre就能跑,但VerilogAMS(*.vams)属于混合信号的,必须用IUS,光spectre是跑不了的,但IUS能跑含有VerilogA(*.va)的电路,虽然它实际上还是调用了spectre。

踩踩哈

踩踩哈

可以私聊?

同求,同问,谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top