微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > 使用verilog-A可以输出一个数组的波形图吗?

使用verilog-A可以输出一个数组的波形图吗?

时间:10-02 整理:3721RD 点击:
请问,我现在有一个数组,是在同一个时刻输出的,它可以生成一个文件,但是我想让它输出一个波形,横轴是数组的编码,纵轴是数组的值,这样可以实现吗?

@(initial_step) i=0;
@(timer(t0, period))begin
if (i<n) out=a[i];
else $stop;
i=i+1;
end
Vout <- transition (out, td, tr, tf);
t0是初始时间,period是时间间隔,i是编码,a[i]是数组值。数组长度是n。你试试看,如果不行,在发帖问问看,我很久没用verloga了,语法有些忘了,我觉得应该用timer,望高人指点。

好,谢谢您了,我试试。

不过,有一些问题,timer 这句话没有被执行,那么怎样改一下呢?

thanks

你好!我问一下这个verilog_A要用什么软件仿真啊?在哪里可以下载到啊?
谢了 啊!1

thanks a lot!

在Cadence里就能仿,用hspice也可以

学习了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top