微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS+verdi debug,VCS编译无法通过

VCS+verdi debug,VCS编译无法通过

时间:10-02 整理:3721RD 点击:

make : *** [product timestamp] Error 1
make exited with status 2
求大牛指点
===========================================
版本是VCS201103,verdi2011.想调用VERDI里面有很多vcs,vcsd,vcs_latest,vcs_mixed,vcs_miph..诸多版本.应选哪个呢?指定PLI时有debussy.tab,novas.tab.verdi.tab.fsdb_vcs.tab.fsdb_vcs.a.pli.a等.tab,.a文件,应选择哪个呢?谢谢啦~===========================================
以上版本和pli.a .tab都试过了,都不行

compile log as follows.
*design* DebussyLib (btIdent 2011.04)
Command arguments:
+define+verilog
-inc
/home/hu/test/sim/tb/dut.v
/home/hu/test/sim/tb/uvm_tb_top2.v

*Error* File type not allowed for object.
"/home/hu/test/sim/tb/uvm_tb_top2.v", 1:
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 2: import uvm_pkg:<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 2: import uvm_pkg:<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 11: interface my_if(input<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 13: logic [7:0] rxd<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 14: logicrx_dv;<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 15: logic [7:0] txd<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 16: logictx_en;<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 18: clocking drv_cb@<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 18: clocking drv_cb@<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 22: clocking mon_cb<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 22: clocking mon_cb<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 36: module<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 36: module<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 50: initial<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 56: end<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 59: uvm_config_db#(virtual my_if<-
*Error*syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 62: uvm_config_db#(virtual my_if<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 65: end<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 72: end<-
*Error*Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 88: class my_transaction extends<-
*Error* Resource file error
Total21 error(s),0 warning(s)

看样子lz用的UVM1.1应用指南及源代码分析里的例子

有什么解决方案吗,还有verdi里的PLI中VCS的多个版本 VCS,VCSD,MIXED,MHPI等等,不晓得是选哪个,我本人使用VCS201103版

求大牛啊~

-P***/novas/verdi***/share/PLI/vcs/LINUX/novas.tab
***/novas/verdi***/share/PLI/vcs/LINUX/pli.a

试了。还是不行。

没用过verdi,看上去是编译问题?你确定没有语法问题么?而且你可以度娘或者谷歌一下嘛。例如:http://bbs.eetop.cn/thread-315538-1-1.html

确定没有语法问题。是PLI库问题。你给的链接我看了一下,应该不是那个帖子相似的问题,谢谢

请LZ贴一下Makefile和compile的命令行?




脚本检查无误

#!/bin/tcsh -fsetPLATFORM=LINUX64

vcs-P${PLI_DIR}/novas.tab \
${PLI_DIR}/pli.a\
-sverilog +incdir+${VCS_UVM_HOME} \
${VCS_UVM_HOME}/uvm_pkg.sv \
${VCS_UVM_HOME}/dpi/uvm_dpi.cc \
-CFLAGS -DVCS \-ntb_opts uvm1.0 -RI -debug_all\
simv\

你想要哪个工具的环境变量设置

环境变量都设置过了,工具启动没有问题。

我用你的命令,可以编译过。我的verdi:2013.07, vcs: 2013.06.

$ vcs -P $VERDI_HOME/share/PLI/VCS/LINUX/novas.tab $VERDI_HOME/share/PLI/VCS/LINUX/pli.a -sverilog -CFLAGS -DVCS -ntb_opts uvm -RI -fsdb_old -debug_all example.sv

我用你的命令,可以编译过。我的verdi: 2013.07, vcs:2013.06
$ vcs -P $VERDI_HOME/share/PLI/VCS/LINUX/novas.tab $VERDI_HOME/share/PLI/VCS/LINUX/pli.a -sverilog -CFLAGS -DVCS -ntb_opts uvm -RI -fsdb_old -debug_all example.sv

你所说的LD_LIBRARY_PATH的设置是指哪一个工具的,如果是verdi,那只要将它设置为verdi的bin,再与其他LD_LIBRARY_PATH并起来就行了

楼上:
删掉-ntb_opts uvm -RI -fsdb_old -debug_all后才能跑过。搞不懂

那换下版本试试喽。

看着像OVM库文件没有包括进来

試看看
vcs +v2k -negdelay -Mupdate -R -debug_pp +vcsd +vpi -P \
novas.tab pli.a +acc vcs.log -f filelist.f

没用这个跑,我的过了,是脚本问题,谢谢/

能说明一下是什么脚本问题吗?谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top