微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 问一个问题,编译时候报出的错误

问一个问题,编译时候报出的错误

时间:10-02 整理:3721RD 点击:
下面的这段代码编译时报出错误

bit[64:0]wdata;
bit[ 4:0]wstrb;
for(int i = 0; i < 4; i++) begin
if(wstrb[i] == 1) begin
$display("wdata = %0h", wdata[i*8+7:i*8])
end
end
display语句编译错误, range must be bounded by constant expressions
怎么会这样,个人感觉没有问题啊

问题它不是已经给你报出来了吗?不能使用变量

为什么不能使用变量?

$display("wdata = %0h", wdata[i*8+7:i*8])
你没打分号

如果对功能没有影响,你又非要用这种方式对array就行slice的话,声明的时候把它声明成unpacked array就行了,不要用packed array。

wdata[i*8+7:i*8]
冒号前后都有变量,这种语法上是不支持的。
可以换种写法 :wdata[i*8+7-:8] ,这样也是选择从 wdata[i*8]到wdata[i*8+7] 这8bits。

类似的,我按照你的改的for(i=0;i<5;i=i+1)
begin
j = 324*i ;
dina = mem[j+17-:1] ;
#20 ;
end
结果dina只读前18的数

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top