微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS中 the tools has just run out of memory

VCS中 the tools has just run out of memory

时间:10-02 整理:3721RD 点击:

本人在做图像处理模块的验证时,将一幅480X480的图像数据存在txt文件中,然后逐点发送给DUT。观察第一个module的输出正常,然后在其后级联了一个带RAM的模块,其中RAM例化自ISE的IP,大小为2048X16b,结果编译通过,仿真时VCS报如下错误:
UVM_INFO @ 0: reporter [RNTST] Running test case0...
Error-[OUTMEM] The tool has just run out of memory:
Memory allocated = 3772 MB, Request size = 1941086208 bytes.
An unexpected termination has occurred in ./simv
Command line: ./simv +UVM_TESTNAME=case0 -l run.log
--- Stack trace follows:
No context available
然后我将图像大小调整为240X240,还是出现如上问题,还请各位指点一下,这是什么原因?急~急急~

好好检查下你的代码,带上simprofile选项看下哪块占用那么多内存。

同问。。

将run_dir下面跑的临时文件删除就好了

请问你这个问题解决了没?怎么解决的? 我也遇到了同样的问题。

你好,请问你的这个问题解决了吗?怎么解决的?我最近也碰到这个问题了....

内存泄漏了,好好检查你用到的queue和循环类的函数。

能具体一点吗?通常什么情况下使用的queue或者循环类函数 会导致出现这样的问题?为什么我不做任何改动,再跑一次仿真就不会出现这样的问题?(这时仿真可以正常结束)

改了license也没有,不是这个问题,搜了好久,没有找到解决办法,只能换版本试试了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top