微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > systemverilog如何将单精度浮点数转换为32bit的形式?

systemverilog如何将单精度浮点数转换为32bit的形式?

时间:10-02 整理:3721RD 点击:
请问systemverilog如何将一个单精度浮点数转换为32bit的二进行码?
比如,我如果想将单精度浮点数表示的9,转为32bit的形式,我期望一个32‘h41100000。而不是一个32'h9。
我试了几种方法都不行。

dpi + c语言的联合体

您好,能具体说一下吗?

在计算机内部 不论整数还是单或双精度浮点数 都是用二进制来表示和处理的。
所以你的问题是 如何用16进制形式将它打印出来么?

您好,我的目的是这样:
产生一个单精度浮点数,并把它压在一个包里,送给FPGA内部逻辑处理。FPGA内部逻辑支持单精度浮点数运算,但是只能认识32bit的单精度浮点数的原始格式。如9,给到FPGA的包里,这个9应该表示为32‘h41100000。
我在给定义这个浮点数的时候,如果写成shortreal型,把它放在一个包里,怎样才能让这个数表示为32‘h41100000给入FPGA,而不是32'h9给入逻辑?

C程序里:
typedef union
{
float f;
ungisnged int i;
} fi_t;
fi_t x;x.f = 9
x.i就是你想要的数。
可写成一个函数,通过dpi在sv中使用。当然,是不可综合的。

感谢上面的回答,还有没有在systemverilog里更方便的办法?

module test;
shortreal a = 9;
reg [31:0] b;
initial begin
$display("a = %f", a);
b = $shortrealtobits(a);
$display("b = %08h", b);
$finish;
end
endmodule

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top