微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS DUMP FSDB 求助

VCS DUMP FSDB 求助

时间:10-02 整理:3721RD 点击:
在虚拟机上面装了VCS2014.03和NOVAS2014.03,然后VCS可以跑,VERDI也能打开,但是在dump fsdb的时候出现了一下的warning
[MaZifa@Colin work]$ ./simv
Chronologic VCS simulator copyright 1991-2014
Contains Synopsys proprietary information.
Compiler version I-2014.03; Runtime version I-2014.03;Apr 16 22:50 2015
*Verdi3* Loading libsscore_vcs201403.so
*Verdi3* : Enable Parallel Dumping.
FSDB Dumper for VCS, Release Verdi3_I-2014.03, Linux, 02/10/2014
(C) 1996 - 2014 by Synopsys, Inc.
***********************************************************************
*WARNING -*
*The FSDB dumper support is still in beta stage for the simulator*
*version that you are running. For any abnormal behavior, please*
*contact Synopsys support for assistance.*
***********************************************************************
*Verdi3* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file.
*Verdi3* : Create FSDB file 'test.fsdb'
*Verdi3* : Begin traversing the scope (tb_iic), layer (0).
*Verdi3* : End of traversing.
看起来是说dump波形的时候license不够,我就想知道dump波形是不是还需要license的,,,感觉好奇怪。

跑vcs和verdi的命令如下:
#-----------------------------------------#
#PROJECT PATH#
#-----------------------------------------#
PROJECT_DIR = /mnt/hgfs/lin_win/qyg002_debug/iic_test
TB_DIR= ${PROJECT_DIR}/testbench
RTL_DIR= $[PROJECT_DIR]/ip/miic
CASE_DIR = ${PROJECT_DIR}/testcase
TB_LIST= -f ${TB_DIR}/iic_tb.f
RTL_LIST = -f ${TB_DIR}/iic.f
CASE_LIST = ${CASE_DIR}/tb_iic.v
#-----------------------------------------#
#DEBUSSY EVN DEFINE#
#-----------------------------------------#
DEBUSSY_DIR = /usr/novas/2014.03/share/PLI/VCS/LINUX
DEBUSSY_OPTIONS += -debug_pp -P ${DEBUSSY_DIR}/novas.tab ${DEBUSSY_DIR}/pli.a +define+DUMPFSDB
#-----------------------------------------#
#VCS EVN DEFINE#
#-----------------------------------------#
TIMESCALE = 1ns/1ps
SIM_OPTIONS += -sverilog
SIM_OPTIONS += +v2k
SIM_OPTIONS += -override_timescale=${TIMESCALE}
SIM_OPTIONS += +access+rw
run_vcs :
vcs ${DEBUSSY_OPTIONS} \
${CASE_LIST} \
${TB_LIST} \
${RTL_LIST} \
${SIM_OPTIONS} \
-l test.log
./simv
run_debussy :
verdi +v2k +access+rw ${CASE_LIST} \
${TB_LIST} \
${RTL_LIST} \ &
求教,,,万分感激。

Warning没提到license的问题啊?

*The FSDB dumper support is still in beta stage for the simulator*
*version that you are running.
感觉这个就是。

内容看不出来有问题啊

换个低点版本的vcs

你可以先dump到vcd,然后再用verdi转到fsdb呀

mark@#¥!%!

I don't see any problem

I dont see problem

i see problem

学习学习

不止小编是否已经解决问题,建议先将将VCS的版本换成2013.06试一下。

在你的 tb file再加点东西,
initial begin
$fsdbDumpvars;
end
不然的话,按照你的情况,应该不会出fsdb波形文件的。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top