微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS 调起来遇到错误,求帮助:Error- Null object access

VCS 调起来遇到错误,求帮助:Error- Null object access

时间:10-02 整理:3721RD 点击:
Error-[NOA] Null object access
/p/inway/addons/fv/sv_packages/external/ovm/ovm-2.1.2/src/base/ovm_globals.svh, 168
The object at dereference depth 0 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.
#0 in ovm_report_warning at
/p/inway/addons/fv/sv_packages/external/ovm/ovm-2.1.2/src/base/ovm_globals.svh:168
#1 in \ovm_factory::registerat
/p/inway/addons/fv/sv_packages/external/ovm/ovm-2.1.2/src/base/ovm_factory.sv:77
#2 in \ovm_object_registry#(ovm_pkg:vm_objection,"ovm_objection")::getat
/p/inway/addons/fv/sv_packages/external/ovm/ovm-2.1.2/src/base/ovm_registry.svh:210
#3 in ovm_pkg

compile 和 elaboration都没有问题,调VCS的时候刚启动起来就报这样的错,求帮助啊。
谢谢

应该是对应的某个属性或者objec没有new

楼上正解

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top