微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > systemverilog .sv和.svh文件的区别

systemverilog .sv和.svh文件的区别

时间:10-02 整理:3721RD 点击:
各位:最近在搭建UVM平台。现在项目上要对文件进行规范管理。现在对.sv和.svh文件的区别不是很清楚。 在以前的仿真中,貌似两个文件都是可以的。所以就没有在意。现在项目上让梳理文件管理规范。
欢迎大神指点

就一文件后缀。

Class templates that are declared within the scope of a package should be separated out into individual files with a .svh
extension. These files should be `included in the package in the order in which they need to be compiled. The package
file is the only place where `includes should be used, there should be no further `include statements inside the included
files.
Justification: Having the classes declared in separate files makes them easier to maintain, and it also makes it clearer
what the package content is.

是习惯,怎么都行。不过编进package的一般用svh, 其他的用sv

学习一下。。

多看多学!

学习了

学习一下。。

所有的include放在.svh中,其它文件用.sv

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top