微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > uvm pack_bytes求助

uvm pack_bytes求助

时间:10-02 整理:3721RD 点击:
uvm可以用pack_bytes把transaction数据封装到byte q[]的动态数组里面,并且返回其大小。如果我想封装到bit q[],该怎么实现?实现与pack_bytes类似的功能.谢谢了

好像没有这样功能的函数把...需要你自己写吧...

是库函数 看一下帮助手册

求教怎么写呢?

利用移位操作么...

pack是不是可以实现,uvm1.2

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top