微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim中compile之后为什么在library里找不到文件?

modelsim中compile之后为什么在library里找不到文件?

时间:10-02 整理:3721RD 点击:

看教程上面说这种情况update之后就可以了,,各位大侠帮帮我啊

看看是不是编译的时候没有指定库或是库指定错了

可以了哈哈 谢谢啊~~

如何解决的啊

如何解决的啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top