微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM 如何控制产生transaction的数量

UVM 如何控制产生transaction的数量

时间:10-02 整理:3721RD 点击:
刚接触uvm 不久, 了解的还不多。
uvm 里面 有没有能控制 产生transaction 数量的function/task 啊?

uvm sequence

repeat pkt numuvm_do xxx
xx

$value$plusargs.../ +define+...

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top