微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请问:什么情况下URG会说找不到valid test?明明有的说

请问:什么情况下URG会说找不到valid test?明明有的说

时间:10-02 整理:3721RD 点击:
各位:目前正在进行coverage验证,我的coverage文件夹下有test_case1、test_case2等每个case的coverage文件夹,但是我使用"urg -f test_case.f -grade -lca -report urgReport"的时候却报错说“URG could not find any valid test.....".
而test_case.f的内容则如下:
test_case1/simv.vdb
test_case2/simv.vdb
test_case3/simv.vdb
...
然后我直接进入到test_case1的文件夹内,运行”urg -dir simv.vdb -report urgReport" 也同样不对,报的也是同样的错误。我哪个地方做错了呢?

再去看了一下simv.vdb里面文件夹的内容,db文件夹内没有testdata文件夹。这是什么缘故呢?是因为我跑simv的参数不对么?附上vcs和simv命令:
vcs:vcs -full64 -sverilog -timescale="1ns/100ps" -ntb_opts uvm-1.1 -debug -cflags -I/net/vol3/axi_bfm/dpi +vcs+lic+wait -l cmp.log -f files.f -file cfiles.f +define+UVM_DISABLE_AUTO_ITEM_RECORDING +define+UVM_PACKER_MAX_BYTES=15000 +define+SYNOPSYS_SV +incdir+/net/vol3/axi_bfm/include +incdir+/net/vol3/axi_bfm/src/sverilog/vcs -P /net/tools/verdi/share/PLI/VCS/LINUX64/novas.tab /net/tools/verdi/share/PLI/VCS/LINUX64/pli.a +nospecify -cm line+cond+fsm+tgl+branch -cm_name test_case1 -cm_dir /net/vol3/coverage/test_case1/simv -cm_hier /net/vol3/coverage/cc_vcs.hir -lca -cm_tgl mda
simv:simv -l test_case1.log +vcs+lic+wait +ntb_random_seed=16513 +TS_PATH=/net/vol3/tests/ +UVM_VERBOSITY = UVM_NONE -cm line+cond+fsm+tgl+branch -cm_name test_case1 -cm_dir /net/vol3/coverage/test_case1/simv +UVM_TESTNAME = test_case1

你解决了吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top