微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM疑问

UVM疑问

时间:10-02 整理:3721RD 点击:
大家好,在阅读UVM实战时,遇到一个问题,在driver类中的new函数定义如下

  1. `uvm_component_utils(my_driver)
  2. function new(string name = "my_driver", uvm_component parent = null);
  3. super.new(name, parent);
  4. endfunction

复制代码


new的参数为什么是(string name = "my_driver", uvm_component parent = null)啊?driver的不是有agent实例化的吗?为啥是 uvm_component parent = null。
谢谢啦

这里只是类的定义,你看实例化的地方,这些参数都是会给进去的,就跟verilog一样,module定义的时候输入也不会给出,例化的时候才知道到底应该接什么

这个是默认值而已。

你应该先看看C++

感谢你们的回复

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top