微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助:关于systemverilog中使用“DPI-C"的问题

求助:关于systemverilog中使用“DPI-C"的问题

时间:10-02 整理:3721RD 点击:
请问:在class中可以使用import "DPI-C" function void crun()么?
我只知道module与program中可以,不知道class中是否可以呢?

可以的

我怎么试了不可以啊?谁能给个详细的解释?

学习一下

肯定可以的,报什么错误呢

学习一下

可以,刚刚用过。但是要注意import "DPI-C" function void必须放在class外 不能放class里面。

应该可以用,要不然你就尝试一下调用标准的c库的函数。

应该是需要在源函数的protype之前加上extern “C”
extern “C”void xx();
{;}

放在class外的地方



是的。

这都在说嘛?

是可以的。天天再用

学习一下。。

学习一下

直接放在class外面地方import 然后在class内可以直接用了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top