微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于使用uvm_reg_hw_reset_seq进行寄存器复位初值测试问题?

关于使用uvm_reg_hw_reset_seq进行寄存器复位初值测试问题?

时间:10-02 整理:3721RD 点击:
使用uvm自带的sequence进行寄存器复位初值测试,log中报有个寄存器的初值与mirror值不一致。

我用的是frontdoor门测试,回读寄存器返回值不是当拍返回,需要几个周期,但那个sequence好像是当拍检查,故出错,有什么设置能让那个sequence延迟几拍再检查。
不想使用backdoor操作,于实际应用不符。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top