微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > ncverilog怎样做code coverage的分析?

ncverilog怎样做code coverage的分析?

时间:10-02 整理:3721RD 点击:
诸位,请问用ncverilog怎样做code coverage的分析?

看user guide里面应该有介绍的,是软件的一块功能

批处理仿真的时候把相关的命令加到里面就行了

关注一下!学学

用vcs吧,方便些。

thank you

iccr 这个工具就可以看coverage了!

ncelab testbench -covfilecoverrage.ccf

fdasfasdfadfasdf

没人详细讲一下么



对于ncelab testbench -covfilecoverrage.ccf命令,哪位大神有写过coverrage.ccf文件啊?望分享一下啦,谢啦!

求问vcs 为何会方便一些?

你可以看一下手册,,,命令行的option 主要是
-coveragecoverage_type(all/functional/..)
-covdutcoverage_scope(for example: top)
-covtesttestname(for example: testA)
跑完默认会在你跑到目录有一个文件夹:
cov_work/scope/testA
然后你可以用iccr来查看结果:
iccr -test cov_work/scope/testA
进入iccr后,除了界面查看,你还可以产生HTML格式报告:
report_html -betfd -instance *... -output report_betfd
产生一个report_betfd的文件夹,包含生产的html文件
ps:
-betfd
b: block
e: expression
t: toggle
f: functional
手册介绍更加详细

请看上一条回复,希望对你有用!

请教一下师兄,我想跑一个简单case来测下coverage,执行脚本的顺序如下:ncvlog -f filelist
ncelab -coverage all testbench
ncsim -input ./sim.tcl testbench

执行到第二句时就报下面的这个错,是因为ncverilog版本不支持吗? 顺便问一下怎样查看ncverilog的版本, 多谢!
ncelab: *E,COVOBS: -COVERAGE option is no longer supported. Please use ICT (Incisive Coverage Technology) for code coverage.

请教师兄一下ncverilog 跑code coverage, 参考了资料用了以下三条命令
ncvlog -f filelist
ncelab -coverage alltestbench
ncsim -input./sim.tcltestbench
在执行第二条语句时,报以下错,是因为ncverilog版本问题吗?
ncverilog +version
TOOL:ncverilog05.10-p004

求指点,多谢
ncelab: *E,COVOBS: -COVERAGE option is no longer supported. Please use ICT (Incisive Coverage Technology) for code coverage.

谢谢,分享,很有用

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top