微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 用irun跑uvm,如何用debussy 和 dump fsdb

用irun跑uvm,如何用debussy 和 dump fsdb

时间:10-02 整理:3721RD 点击:
请问各位大侠,有谁知道在uvm 中 如何用debussy 和 dump fsdb waveform 吗?多谢

依然是在top层的initial语句里面添加相应的函数,如果想要追踪class里面的属性,还需要额外的设置,网上有相关的方法,不过没有尝试过。

请问是在initial里边添加什么function呢?我们开发的各个uvm component 里边会包含很多的function 或 task,是添加这些吗?这个问题困扰了好久了,麻烦解释一下啊,多谢

就是添加dump波形文件的函数,$fsdbDumpfile类似这样的系统函数,和UVM没有关系,只要在top里面加入即可,具体函数的使用,可以参考debussy的使用手册,里面应该有的。

啊?网上哪里有相关资料呀?每次没办法看class内的变量,调试起来很困难哪

搜索一下看看吧,亿涛里面好像就有。debussy或者verdi如何观察uvm里面的变量。

OK,多谢你了,你说是这个函数啊fsdbDumpfile,这个我用过是在tcl加入:call fsdbDumpfile {"twave.fsdb"};call fsdbDumpvars 0 {"dnif_top"}; 应改就可以了。但是只能dump design,对于env 的class 还是dump 不了,只能看log,调试起来是有点麻烦,我去亿涛里边再找找,麻烦有谁找到了,在这回个帖啊,多谢

弱弱的问一声:亿涛是什么呀?

就是本论坛,我记得有一篇关于如何在verdi中观察class中成员的帖子。

同问啊!我在top里加上$fsdbDumpfile类似这样的系统函数,还是没有波形产生啊

去看VERDI目录下面的install doc,里面有如何根据不同simulator设置环境变量,然后在top的module里面把API加进去。

我正位這個問題困擾!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top