微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > VCS中是否有systemc的库

VCS中是否有systemc的库

时间:10-02 整理:3721RD 点击:
最近在学systemc验证!按照VCS user guide进行编译仿真!
比如说我要编译我自己写的adder.cpp文件
使用user guide 的操作语句:
syscan adder.cpp
或者syscan -cpp g++ -cflag -g adder.cpp
再执行vcs -sysc sc_main等操作
但是vcs提示:
syscan这个command不存在?
后来我问了同小组其他人,他们说编译systemc需要添加systemc的库,我想问下,VCS中是否有systemc的库,不是说VCS可以编译仿真systemc文件的吗?
有没有人进行过systemc方面的工作!知道是什么原因?

好,希望以后多分享

VCS 编译SystemC 肯定是没有问题的。VCS 连SpecmanE(现在属于Cadence)都支持链接,没道理不支持SystemC,呵呵。那么,就要问问你们小组同事说的库是什么了。
偶没有编译过SystemC,不过,估计VCS 的user guide 里肯定有,一般就是一些环境变量,如path之类的设置,让VCS 在编译时,能够搜索到SystemC 对应的一些*.so文件,八成就没有问题了。

楼上说的.so文件是对应的库文件吗?

我不是报命令不存在。
而是g++ compiler版本不支持systemc
我的是4.4.4
支持貌似有3.4.6 4.2.2 4.5.2
不知道为什么会这样

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top