微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请牛人解答一下UVM的问题

请牛人解答一下UVM的问题

时间:10-02 整理:3721RD 点击:
各位大拿:
请教一下!
在TB中对于interface的操作采用assign_vif函数就能实现TB各个Driver和DUT的连接,同样在top也可以通过uvm_config_db来做这件事。
现在问题是,这两种方法有什么区别呢?
求大牛解答!
Thanks!

如果结构有变动,后者只需要很少甚至不用修改仍能正常工作,重用性和易用性都很好

感觉 assign_vi 是从 OVM 沿用过来的。
应该说 uvm_config_db 是更Unify的一种方式 来做 Interface 的 connection。
assign_vi Internali 也可以条用 uvm_config_db ,这样就没什么区别。
也可以用,cl_inst.vif = this.vif.
感觉上,都可以实现 connection 的功能,具体 看 DV Engineer 的使用习惯。

还没有用过assign_vif这个函数。那么这两种方式哪一种用的比较多呢?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top