微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > systemc trace波形,没到仿真时间波形就断了

systemc trace波形,没到仿真时间波形就断了

时间:10-02 整理:3721RD 点击:
我在VS里面编译的工程,trace的时候设置的sc_start(500,SC_US);
生成的vcd文件只到183us

后面的就没了,以前也遇到过这样的情况,只不过截止前模块就有输出了
这个模块要运行220us才有输出
求解啊

运行的时候也没出错
sc_start(220,SC_US);
sc_close_vcd_trace_file(tf);
cout << "Finished at time " << sc_time_stamp() << endl;
显示的是 finished at 220us

up
up

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top