微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教一下用assert property和cover property搜集覆盖率有什么不同?

请教一下用assert property和cover property搜集覆盖率有什么不同?

时间:10-02 整理:3721RD 点击:
能不能用assert property代替cover property?

assert是执行了这段断言,并且成功了就会覆盖,否则报错。
cover是执行了这段断言,成功就覆盖,错误不会报错。
当你是需要用断言去验证时序的正确性的时候可以用assert
当你用断言去覆盖时序的异常场景或者什么乱起八糟总线功能时可以用cover
侧重点不同,assert替代cover。等着断言报错分析吧。

Cover property allows us to see if the property is indeed covered. Cover property does not have the vacuous pass property. It indicates at the end of the assertion if it has been covered. When it is covered, it triggers a PASS action block. In this action block you may put a $display statement to indicate that the property has been covered or that it has ‘passed’. Note that ‘cover’ simply does not have a FAIL action block and does not have the vacuous pass property.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top