微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > (求助)关于modelsim10.0c和debussy联合仿真的问题

(求助)关于modelsim10.0c和debussy联合仿真的问题

时间:10-02 整理:3721RD 点击:
我用的modelsim是10.0c的win764位版本。按照网上6.5版本modelsim和debussy联合仿真的设置配置好软件环境后。在testbench文件中加入initial
begin
$fsdbDumpfile("wave.fsdb");
$fsdbDumpvars;
end
仿真时显示$fsdbDumpfile和$fsdbDumpvarsnot defined.同时wave.fsdb文件也没有生成。编写批处理文件也没有运行debussy程序。

不知道为什么,是不是版本不同。两者联合仿真的设置方法不同。问题是不是出在两个函数没有定义这个worning上。求前辈解答

我也遇到了这方面的问题,只是和你的有些不一样,你能帮我看一下吗
#invoked from within
# "set ipxFP [open "$ipDir/SinOut.ipx" w]"
do F:/example/SinOut_add.tcl
# F:/example
# Adding DSP Builder System SinOut to project
#
# ** Error: invalid command name "set_global_assignment"
# Error in macro F:\example\SinOut_add.tcl line 5
# invalid command name "set_global_assignment"
#while executing
# "set_global_assignment -name "QIP_FILE" [file join $mdldir "SinOut.qip" ]"
我的QQ号是1574906512,请指教啊,我是才开始学

2个步骤:
1. 按照网上说的,复制novas.dll到modelsim安装目录下的win32目录下;
然后,修改modelsim安装目录下的modelsim.ini:Veriuser = novas.dll
2. 仿真时,在vsim命令中加入:-pli novas.dll
最后,给你个简单的仿真脚本作参考:
vlib work
vlog -work work -timescale 1ns/10ps +incdir+../testcase -f ./verilog.flist
vsim +tr_single -c -pli novas.dll -do "run -all" -onfinish exit work.lab03_top_tb

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top