微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 有没有用Questa10.0a跑UVM 1.0成功的?

有没有用Questa10.0a跑UVM 1.0成功的?

时间:10-02 整理:3721RD 点击:
我按照网上的做法,老是不成功,抑郁了。麻烦告诉指点一下哈!
set UVM_HOME D:/study/uvm/questasim-win32-10.0a/verilog_src/uvm-1.0/src
vlog +incdir+$UVM_HOME/src hello_world.sv
# QuestaSim vlog 10.0a Compiler 2011.02 Feb 20 2011
# -- Compiling module hello_world
# -- Importing package uvm_pkg
# ** Error: packet.sv(29): (vlog-2163) Macro `uvm_object_utils_begin is undefined.
# ** Error: packet.sv(29): near "(": syntax error, unexpected '(', expecting function or task
# ** Error: packet.sv(30): (vlog-2163) Macro `uvm_field_int is undefined.
# ** Error: packet.sv(31): (vlog-2163) Macro `uvm_object_utils_end is undefined.
# ** Error: producer.sv(24): Undefined variable: T.
# ** Error: producer.sv(32): near "protected": syntax error, unexpected protected
# ** Error: producer.sv(36): (vlog-2163) Macro `uvm_component_utils_begin is undefined.
# ** Error: producer.sv(37): (vlog-2163) Macro `uvm_field_object is undefined.
# ** Error: producer.sv(38): (vlog-2163) Macro `uvm_field_int is undefined.
# ** Error: producer.sv(39): (vlog-2163) Macro `uvm_field_int is undefined.
# ** Error: producer.sv(40): (vlog-2163) Macro `uvm_component_utils_end is undefined.
# ** Error: producer.sv(46): (vlog-2163) Macro `uvm_info is undefined.
# ** Error: producer.sv(46): near "(": syntax error, unexpected '('
# ** Error: producer.sv(52): near "(": syntax error, unexpected '(', expecting IDENTIFIER or TYPE_IDENTIFIER
# ** Error: producer.sv(53): near "(": syntax error, unexpected '(', expecting IDENTIFIER or TYPE_IDENTIFIER
# ** Error: producer.sv(55): near "(": syntax error, unexpected '(', expecting IDENTIFIER or TYPE_IDENTIFIER
# ** Error: producer.sv(58): near ".": syntax error, unexpected '.', expecting IDENTIFIER or TYPE_IDENTIFIER or '#' or '('
# ** Error: producer.sv(62): (vlog-2163) Macro `uvm_info is undefined.
# ** Error: producer.sv(67): near "(": syntax error, unexpected '(', expecting IDENTIFIER or TYPE_IDENTIFIER
# ** Error: producer.sv(73): (vlog-2163) Macro `uvm_info is undefined.
# ** Error: consumer.sv(24): near "#": syntax error, unexpected '#', expecting ')' or ','
# ** Error: consumer.sv(25): 'out' already declared in this scope (packet).
# ** Error: consumer.sv(27): Multiple constructors declared for class packet - only one allowed.
# ** Error: consumer.sv(27): 'name' already declared in this scope (new).
# ** Error: consumer.sv(27): Verilog Compiler exiting
# D:/study/uvm/questasim-win32-10.0a/win32/vlog failed.

找不到宏呢,兄弟Questa10.0a哪下的啊?

我编译的时候,除了你上面的指令,我在编译hello_world.sv之前,把uvm_pkg给编译了一遍,就可以了。

谢谢哈!我下去试试

就在官网上面,注册就可以了。
eetop里面有下载的教程,不过链接我忘记了。
你可以找找看

搞定了,sign。
vlog -timescale "1ns/1ns" -mfcu -suppress 2181 +acc=rmb -writetoplevels questa.tops +incdir+$UVM_HOME $UVM_HOME/uvm.sv +incdir+. hello_world.sv
不过具体没有命令是什么意思还是要研究研究。

uvm的例子里面有questa脚本,这个在modelsim6.5c中也是可以运行的,可能questa支持uvm更好些,不知道大侠的questa10.0a是在哪里下载的

先下下来试试啦

一会试一试

顶一下

用makefile啊,直接make -f Makefile.questa all 就OK了

用makefile啊,直接make -f Makefile.questa all 就OK了

try the "-mftc" option.

顶一下

想问一下小编关于在questasim下跑UVM的教程在哪里可以找到?

烦请上面懂或者也在学习的大神给个QQ,方便讨论啊?

路过,学习学习

谢谢小编啦

支持一下

顶一下

请问在questa 6.5中怎么运行?谢谢

vlog -incr +incdir+$(UVM_HOME)/src$(UVM_HOME)/src/uvm_pkg.sv
vlog -incr +incdir+$(UVM_HOME)/srchallo_world.sv
vsim -sv_lib $(UVM_HOME)/lib/uvm_dpi -c -do "run -a" top

学习了...

同问啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top