微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于primetime的问题

关于primetime的问题

时间:10-02 整理:3721RD 点击:

set link_path “* xxxx” .这儿的“*”有什么作用,而且是必须要的...
set_operating_conditions -analysis_type bc_wc. 这儿不管设哪种analysis_type,pt跑出来始终用的vco模式,这又是什么原因啊!

“set_operating_conditions -analysis_type bc_wc -min u055lscspmvbbr_110c-40_bc -max u055lscspmvbbr_090c125_wc”
跑出来是:Loading verilog file '/home/yangqg/projects/encounter/out/netlist/cfg_top_v12H.v'
Loading db file '/home/yangqg/projects/encounter/in/lib/u055lscspmvbbr_110c-40_bc.db'
Loading db file '/home/yangqg/projects/encounter/in/lib/u055lscspmvbbr_090c125_wc.db'
Linking design cfg_top...
Information: Removing 17 unneeded designs..... (LNK-034)
Information: 878 (72.26%) library cells are unused in library u055lscspmvbbr_110c-40_bc.....
Information: 1215 (100.00%) library cells are unused in library u055lscspmvbbr_090c125_wc.....
Information: total 2093 library cells are unused.
Information: Issuing set_operating_conditions for setting analysis mode on_chip_variation. (PTE-037)
set_operating_conditions -analysis_type on_chip_variation-library [get_libs {u055lscspmvbbr_110c-40_bc.db:u055lscspmvbbr_110c-40_bc}]
Design 'cfg_top' was successfully linked.
Warning: The BC_WC analysis mode will be phased out in future releases. (PT-009)
Warning: Creating a clock on internal pin 'U41809/Z'. (UITE-130)
Warning: Creating a clock on internal pin 'U41812/Z'. (UITE-130)
Information: Derived library resistance unit is 1.000000 Kohm (Time unit is 1 ns, and Capacitance unit is 1.000000 pF). (DES-028)

“set_operating_conditions -analysis_type single -min u055lscspmvbbr_110c-40_bc -max u055lscspmvbbr_090c125_wc”
跑出来的是:
Loading verilog file '/home/yangqg/projects/encounter/out/netlist/cfg_top_v12H.v'
Loading db file '/home/yangqg/projects/encounter/in/lib/u055lscspmvbbr_110c-40_bc.db'
Loading db file '/home/yangqg/projects/encounter/in/lib/u055lscspmvbbr_090c125_wc.db'
Linking design cfg_top...
Information: Removing 17 unneeded designs..... (LNK-034)
Information: 878 (72.26%) library cells are unused in library u055lscspmvbbr_110c-40_bc.....
Information: 1215 (100.00%) library cells are unused in library u055lscspmvbbr_090c125_wc.....
Information: total 2093 library cells are unused.
Information: Issuing set_operating_conditions for setting analysis mode on_chip_variation. (PTE-037)
set_operating_conditions -analysis_type on_chip_variation-library [get_libs {u055lscspmvbbr_110c-40_bc.db:u055lscspmvbbr_110c-40_bc}]

*是指读到内存中的设计吧,当然是必须的。
ocv 这个不太清楚,贴报告上来看吧

贴出来了,多谢你的解答

SI分析时会自动切换到OCV

哈哈我也不知道哈 同求

你报一条路径的时序,看实际用的是bc_wc还是ocv呢?

设了derating就会自动切换到OCV

感谢分享

感谢回答

搞清bc_wc和ocv的区别。

Hi, How to learn prime time tool?

ghfhgfhgfhfghgfhfg

*是内存中的数据,DC等工具的环境配置中也是这样写的吧

紫薯布丁

I did STA in primeTime both with setting analysis to ocv and without
but both check_timing and report_analysis_coverage
yield the same results
please help

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top