微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > Innovus 脚本语言

Innovus 脚本语言

时间:10-02 整理:3721RD 点击:
想请教下大家,有如下语言脚本可以直接给Innovus 吃,然后工具会给出相应的操作和结果,例如
proc pin_net {instName pinName } {
set inst [dbGetInstByName $instName ]
if ...
想问下,大家有没有这种语言的相关资料供学习一下!
非常感谢!

就是tcl的函数而已,和python的def差不多

这是tcl procedure

主要是里面的dbGetInstByName这些函数能在哪里查询到?

主要是里面的$instName ,dbGetInstName这些函数在哪里可以查到呢?您有相关的资料供参考学习吗?

instName是它的输入参数,名字随便起的。 dbGet*是C家独有的(辣鸡)命令,cdnshelp可以搜到

安装文件路径/doc/innovusDBAref/innovusDBAref.pdf
这个文件中有详细的介绍。

请问,在ICC中,一般说的feedthrought是什么?一直很困惑这个词
feedthrought需要要注意一些问题吗?

谢谢各位大神的指点,已在7楼的路径下找到相关资料!非常感谢!

feedthrough就是贯穿。当我们在做partition时如果有两颗inst要互联,刚好被分到了partition两侧,此时route只能避开这个模块,导致route 线增长,影响timing。
feedthrough就是在partition之前full chip时预布线,两侧的inst有连接关系的情况下,在partition中插入feedthrough用于交互,这样再被切出去时就保留了feedthrough,在route时就会通过feedthrough路径完成两颗inst之间的连线,timing也不会太差。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top