微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter MMMC设置的问题

encounter MMMC设置的问题

时间:10-02 整理:3721RD 点击:



请问一下,在encounter MMMC设置里的RC Corner设置选项,有一栏是Cap Table,还有一栏是QRC Technology File,两者有何区别,是不是需要同时设置,还是可以只设置其中一个就好? 谢谢!

cap table相对于qrc更精确一些设置一个就行根据要求

刚好说反了吧,qrc TechFile相比captable更精确一些。

这个看你是在哪个阶段,preroute的时候可以使用captable,route时,要使用qrc TechFile。

噢,谢谢。另外,还有个问题,同一种工艺里面,QRCTechfile是模拟数字用同一个,还是不同的file?

我的也是在MMMC这里设置有些问题,请问Setup Analysis Views 跟Hold Analysis ViewS 怎么设置啊?我这里没有设置,在后面CTS的时候做不了,求高手解答~

建立时间用SS,保持时间用FF

步骤如下:
creat_rc_corner
creat_delay_corner
creat_constraint_mode
creat_analysis_view
set_analysis_view -hold {检查hold的corner,FF的} -setup{检查setup的corner,SS的}

你后来是怎么解决的呢?我现在也遇到同样的问题,请赐教哈。你也是用的encounter13.1的版本吗?我之前用encounter9.1时没有这个问题,但现在用13.12就有这个问题,不知道该怎么设置了

请教MMMC怎么设置,好像setup和hold都没有选项,不知道该如何设置呢。是需要用命令加进去吗?你以上输入的命令行是在开启encounter的terminal窗口输入吗?



麻烦请教一下,第一栏的setup analysis和hold analysis怎么设置呢?

先把右边的lib(一个Max_lib,一个Min_lib两组)设置好,然后RC corner设置好,然后设置delay corner(设置这个的时候通过选择前面设置好的lib和rc来组合的)
然后再设置Analysis vew(Max_view和Min_view两个view),这个是通过选择前面设置好的delay来。
然后右键Setup选择Max view
Hold右键选择Min vew。
就是这么递进一步步手动设置的。
然后确定后就会生成一个.view的文件。所以你知道怎么设置的话,也可以在这个view文件里面直接设置。

很详细,按照步骤操作,现在已经设好了mmmc,可以往下继续操作了,非常感谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top