微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > max_transition和max_cap的violation如何修复

max_transition和max_cap的violation如何修复

时间:10-02 整理:3721RD 点击:
max_transition和max_cap的violation该如何修复呢?在report里有两个数,required和actual两个数据,分别代表什么呢?修的时候我插入了buffer,但是情况反而变坏了,我是插在了pin的位置上,是插的位置不对吗?插buffer的时候该怎么插啊?各位大神能给小妹个例子不?初学,不懂的好多,求指导!

required:要求的数值
actual:实际的结果
修max_transition和max_cap的violation,要先搞清楚出现violation的原因,比如,由high fanout和long wire造成的违法,修的方法不一样

谢谢小编!小妹修的时候出现的max_transition和max_cap的violation都在test的端口,如附图所示呢。max_fanout的值是80,我觉得是驱动不够,于是小妹在相应的pin上插入了大驱动强度的buffer,但是在report后发现情况变坏了,max_cap和max_transition的值都变大了。百思不得其解,求小编不吝赐教。





插入之前的情况





这是插入之后的情况






这是插入后的情况

小编你这个问题我们也遇到了我们的那个Actual Transition 的值是和库里的pad的值一样是输入pad的max_transition貌似是不影响你查库里的参数看看

trace一下是到IO PAD内部的话 可以忽略的,你仔细看一下,还有看一下相应测试clk结构

小编,问下这2种情况应该分别如何修复?
一般现在我们的做法都是加buff,如果是long wire的话,把wire先删除(或者再加入buff),重新红线

high fan out -> clustering 本來1推100 cells 的把它變成1推5個buf, 再分別推20 cells

long wire -> buffer insertion
就是加buffer了, 不過要注意過重的loading是不是因為output分別是往兩邊推的情形,

我一般会在place设置HF,最大的HF设置一般是40左右,之后会用create_buffer_tree,一般很少会出现大的HF呢

留名,正在学习

学习中

解答在哪里?

没答案的提问。

你可以自动优化一下,在optimization里面,如下图所示。




clock mttv 和data mttv处理不一样

学习啊啊

新手学习

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top