微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter 和 ICC set_clock_uncertainty 的设置

encounter 和 ICC set_clock_uncertainty 的设置

时间:10-02 整理:3721RD 点击:
encounter里面在place之前,设置clock uncertainty时是除了要考虑clock jitter之外,是否还要加上预计的clock skew? 如果是这样那么在CTS做完之后可以知道真实的clock skew, 那么此时是否要重新设置clock uncertainty, 使之只包含clock jitter? 如果不不重新设置uncertainty,那么对后面的routing的timing有何影响?
同样的情况在ICC里又是如何? 记得ICC似乎有个set_propagated_clock的命令, CTS之后调用这个命令,ICC就会自动uncertainty里面的clock skew部分,并不须重设clock uncertainty?

在place时可以用两种方式来做placeOpt,一种是基于clock latency的,即设置每一个clock的latency来做placeOpt, uncertainty的值跟routing时用的一样;另一种是clock latency是0,用比较大的uncertainty 来做placeOpt; 如果是第二种是要改uncertainty的,第一种就不用。
icc那个set propagated clock命令只会用clock的实际延迟来算timing,对uncertainty没有任何作用。

nufang
Thanks a lot!

Thanks a lot guys....

学习了,多谢

学习了,多谢

uncertainty 的控制属于微操作,现在我们都不改了,直接算design margin就好了,
不用分析构成

学习了,多谢

Thanks a lot guys....

Thanks a lot guys....Thanks a lot guys....

The clock uncertainty can be used as a further margin after CTS..Thanks a lot!

感谢

学习了

How do we use design margin then?only set_design_margin xxx
instead of set_clock_uncertainty xxx?
thanks

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top