微波EDA网,见证研发工程师的成长! 2025婵犵數濮烽弫鍛婃叏閻戣棄鏋侀柛娑橈攻閸欏繘鏌熺紒銏犳灍闁稿骸顦…鍧楁嚋闂堟稑顫岀紓浣哄珡閸パ咁啇闁诲孩绋掕摫閻忓浚鍘奸湁婵犲﹤鎳庢禍鎯庨崶褝韬┑鈥崇埣瀹曠喖顢橀悙宸€撮梻鍌欑閹诧繝鎮烽妷褎宕叉慨妞诲亾鐎殿喖顭烽弫鎰緞婵犲嫷鍚呴梻浣瑰缁诲倸螞椤撶倣娑㈠礋椤撶姷锛滈梺缁樺姦閸撴瑩宕濋妶鍡欑缁绢參顥撶弧鈧悗娈垮枛椤兘骞冮姀銈呭窛濠电姴瀚倴闂傚倷绀侀幉锟犲箰閸℃稑宸濇い鏃傜摂閸熷懐绱撻崒姘偓鎼佸磹閻戣姤鍤勯柤鎼佹涧閸ㄦ梹銇勯幘鍗炵仼闁搞劌鍊块弻娑㈩敃閿濆棛顦ラ梺钘夊暟閸犳牠寮婚弴鐔虹闁绘劦鍓氶悵鏇㈡⒑缁嬫鍎忔俊顐g箞瀵鈽夊顐e媰闂佸憡鎸嗛埀顒€危閸繍娓婚柕鍫濇嚇閻涙粓鏌熼崙銈嗗04闂傚倸鍊搁崐鎼佸磹閹间礁纾归柟闂寸绾惧綊鏌熼梻瀵割槮缁炬儳缍婇弻鐔兼⒒鐎靛壊妲紒鎯у⒔閹虫捇鈥旈崘顏佸亾閿濆簼绨奸柟鐧哥秮閺岋綁顢橀悙鎼闂侀潧妫欑敮鎺楋綖濠靛鏅查柛娑卞墮椤ユ艾鈹戞幊閸婃鎱ㄩ悜钘夌;闁绘劗鍎ら崑瀣煟濡崵婀介柍褜鍏涚欢姘嚕閹绢喖顫呴柍鈺佸暞閻濇洟姊绘担钘壭撻柨姘亜閿旇鏋ょ紒杈ㄦ瀵挳濮€閳锯偓閹风粯绻涙潏鍓хК婵炲拑绲块弫顔尖槈閵忥紕鍘遍梺鍝勫暊閸嬫挻绻涢懠顒€鏋涢柣娑卞櫍瀵粙顢樿閺呮繈姊洪棃娑氬婵炶绲跨划顓熷緞婵犲孩瀵岄梺闈涚墕濡稒鏅堕柆宥嗙厱閻庯綆鍓欐禒閬嶆煙椤曞棛绡€濠碉紕鍏橀崺锟犲磼濠婂啫绠洪梻鍌欑閹碱偄煤閵娾晛纾绘繛鎴欏灩閻掑灚銇勯幒鍡椾壕濠电姭鍋撻梺顒€绉撮悞鍨亜閹哄秷鍏岄柛鐔哥叀閺岀喖宕欓妶鍡楊伓13闂傚倸鍊搁崐鎼佸磹閹间礁纾归柟闂寸绾惧綊鏌熼梻瀵割槮缁炬儳缍婇弻鐔兼⒒鐎靛壊妲紒鎯у⒔閹虫捇鈥旈崘顏佸亾閿濆簼绨奸柟鐧哥秮閺岋綁顢橀悙鎼闂侀潧妫欑敮鎺楋綖濠靛鏅查柛娑卞墮椤ユ艾鈹戞幊閸婃鎱ㄩ悜钘夌;闁绘劗鍎ら崑瀣煟濡崵婀介柍褜鍏涚欢姘嚕閹绢喖顫呴柍鈺佸暞閻濇牠姊绘笟鈧埀顒傚仜閼活垱鏅堕幍顔剧<妞ゆ洖妫涢崚浼存懚閺嶎灐褰掓晲閸噥浠╁銈嗘⒐濞茬喎顫忓ú顏呭仭闁规鍠楅幉濂告⒑閼姐倕鏋傞柛搴f暬楠炲啫顫滈埀顒勫春閿熺姴绀冩い蹇撴4缁辨煡姊绘担铏瑰笡闁荤喆鍨藉畷鎴﹀箻缂佹ḿ鍘遍梺闈浨归崕鎶藉春閿濆洠鍋撳▓鍨灈妞ゎ參鏀辨穱濠囧箹娴e摜鍘搁梺绋挎湰閻喚鑺辨禒瀣拻濞达絽鎳欒ぐ鎺戝珘妞ゆ帒鍊婚惌娆撴煙鏉堟儳鐦滈柡浣稿€块弻銊╂偆閸屾稑顏� 闂傚倸鍊搁崐鎼佸磹閹间礁纾归柟闂寸绾惧綊鏌熼梻瀵割槮缁炬儳缍婇弻鐔兼⒒鐎靛壊妲紒鎯у⒔閹虫捇鈥旈崘顏佸亾閿濆簼绨奸柟鐧哥秮閺岋綁顢橀悙鎼闂侀潧妫欑敮鎺楋綖濠靛鏅查柛娑卞墮椤ユ艾鈹戞幊閸婃鎱ㄩ悜钘夌;闁绘劗鍎ら崑瀣煟濡崵婀介柍褜鍏涚欢姘嚕閹绢喖顫呴柣妯荤垹閸ャ劎鍘遍柣蹇曞仜婢т粙鎮¢姘肩唵閻熸瑥瀚粈鈧梺瀹狀潐閸ㄥ潡銆佸▎鎴犵<闁规儳澧庣粣妤呮⒒娴e憡鍟炴い顓炴瀹曟﹢鏁愰崱娆屽亾濞差亝鍊垫鐐茬仢閸旀碍绻涢懠顒€鈻堢€规洘鍨块獮姗€鎳滈棃娑欑€梻浣告啞濞诧箓宕滃☉銏℃櫖婵炴垯鍨洪埛鎴︽煕濞戞ǚ鐪嬫繛鍫熸礀閳规垿鎮欑拠褑鍚梺璇″枙閸楁娊銆佸璺虹劦妞ゆ巻鍋撻柣锝囧厴瀹曞ジ寮撮妸锔芥珜濠电姰鍨煎▔娑㈩敄閸℃せ鏋嶉悘鐐缎掗弨浠嬫煟濡櫣浠涢柡鍡忔櫅閳规垿顢欓懞銉ュ攭濡ょ姷鍋涢敃銉ヮ嚗閸曨垰绠涙い鎺戝亰缁遍亶姊绘担绛嬫綈鐎规洘锕㈤、姘愁樄闁哄被鍔戞俊鍫曞幢閺囩姷鐣鹃梻渚€娼ч悧鍡欌偓姘煎灦瀹曟鐣濋崟顒傚幈濠电偛妫楃换鎴λ夐姀鈩冨弿濠电姴鎳忛鐘电磼鏉堛劌绗掗摶锝夋煠婵劕鈧倕危椤掑嫭鈷掑ù锝呮嚈瑜版帗鏅濋柕鍫濇嫅閼板潡姊洪鈧粔鎾倿閸偁浜滈柟鍝勭Х閸忓矂鏌涢悢鍝ュ弨闁哄瞼鍠栧畷娆撳Χ閸℃浼�闂傚倸鍊搁崐鎼佸磹閹间礁纾归柟闂寸绾惧綊鏌熼梻瀵割槮缁炬儳缍婇弻鐔兼⒒鐎靛壊妲紒鎯у⒔閹虫捇鈥旈崘顏佸亾閿濆簼绨奸柟鐧哥秮閺岋綁顢橀悙鎼闂侀潧妫欑敮鎺楋綖濠靛鏅查柛娑卞墮椤ユ艾鈹戞幊閸婃鎱ㄩ悜钘夌;闁绘劗鍎ら崑瀣煟濡崵婀介柍褜鍏涚欢姘嚕閹绢喖顫呴柍鈺佸暞閻濇牠姊绘笟鈧埀顒傚仜閼活垱鏅堕幍顔剧<妞ゆ洖妫涢崚浼存懚閺嶎灐褰掓晲閸噥浠╁銈嗘⒐濞茬喎顫忓ú顏呭仭闁规鍠楅幉濂告⒑閼姐倕鏋傞柛搴f暬楠炲啫顫滈埀顒勫春閿熺姴绀冩い蹇撴4缁辨煡姊绘担铏瑰笡闁荤喆鍨藉畷鎴﹀箻缂佹ḿ鍘遍梺闈浨归崕鎶藉春閿濆洠鍋撳▓鍨灈妞ゎ參鏀辨穱濠囧箹娴e摜鍘搁梺绋挎湰閻喚鑺辨禒瀣拻濞达絽鎳欒ぐ鎺戝珘妞ゆ帒鍊婚惌娆撴煙鏉堟儳鐦滈柡浣稿€块弻銊╂偆閸屾稑顏�
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 在CTS阶段该如何做才能将skew做到最小?或者说该如何优化skew?

在CTS阶段该如何做才能将skew做到最小?或者说该如何优化skew?

时间:10-02 整理:3721RD 点击:
各位大神好!小女子在ICC中进行CTS之后report_clock_tree -summary结果如下:

闂傚倸鍊搁崐鎼佸磹閹间礁纾归柟闂寸绾惧綊鏌熼梻瀵割槮缁炬儳缍婇弻鐔兼⒒鐎靛壊妲紒鎯у⒔閹虫捇鈥旈崘顏佸亾閿濆簼绨奸柟鐧哥秮閺岋綁顢橀悙鎼闂侀潧妫欑敮鎺楋綖濠靛鏅查柛娑卞墮椤ユ艾鈹戞幊閸婃鎱ㄩ悜钘夌;婵炴垟鎳為崶顒佸仺缂佸鐏濋悗顓熶繆閵堝繒鍒伴柛鐕佸亞缁鈽夊Ο蹇撶秺閺佹劙宕ㄩ璺攨缂傚倷绀侀鍕嚄閸撲焦顫曢柟鎹愵嚙绾惧吋鎱ㄥ鍡楀幋闁稿鎹囬幃婊堟嚍閵夈儮鍋撻崸妤佺叆闁哄洦姘ㄩ崝宥夋煙閸愯尙鐒告慨濠勭帛閹峰懘宕ㄦ繝鍌涙畼闂備浇宕甸崰鍡涘磿閹惰棄绠查柕蹇曞濞笺劑鏌嶈閸撴瑩顢氶敐鍡欑瘈婵﹩鍘兼禍婊呯磼閻愵剙顎滃瀛樻倐瀵煡顢楅崟顑芥嫼闂佸湱枪濞撮绮婚幘瀵哥閻犲泧鍛煂闁轰礁鐗婃穱濠囧Χ閸涱喖娅ら梺绋款儌閸撴繄鎹㈠┑鍥╃瘈闁稿本绋戝▍锝咁渻閵堝繒鍒伴柕鍫熸倐楠炲啯绂掔€e灚鏅┑鐐村灦钃遍悹鍥╁仱濮婅櫣鎷犻垾铏亶闂佽崵鍣︽俊鍥箲閵忕姭鏀介悗锝庝簽閸婄偤姊洪棃娴ゆ盯宕橀妸銉喘婵犵數濮烽弫鍛婃叏閻戣棄鏋侀柟闂寸绾捐銇勯弽顐粶闁绘帒鐏氶妵鍕箳閹存繍浠肩紒鐐劤椤兘寮婚悢鐓庣鐟滃繒鏁☉銏$厽闁规儳顕ú鎾煙椤旂瓔娈滈柡浣瑰姈閹棃鍨鹃懠顒佹櫦婵犵數濮幏鍐礃椤忓啰椹抽梻渚€鈧稓鈹掗柛鏂跨Ф閹广垹鈹戠€n亜绐涘銈嗘礀閹冲秹宕Δ鍛拻濞达絽鎲$拹锟犳煙閾忣偅灏甸柍褜鍓氬銊︽櫠濡や胶鈹嶅┑鐘叉搐缁犵懓霉閿濆牆鈧粙濡搁埡鍌滃弳闂佸搫鍟犻崑鎾绘煕鎼达紕锛嶇紒杈╁仱楠炴帒螖娴e弶瀚介梻浣呵归張顒勬偡閵娾晛绀傜€光偓閸曨剛鍘甸梺鎯ф禋閸嬪懎鐣峰畝鈧埀顒冾潐濞叉粓寮拠宸殨濞寸姴顑愰弫鍥煟閹邦収鍟忛柛鐐垫暬濮婄粯鎷呴懞銉с€婇梺闈╃秶缁犳捇鐛箛娑欐櫢闁跨噦鎷�...


我用的是.35的工艺,时钟频率是10M,DC综合阶段的uncertainty设置为1,在pre_CTS时set_clock_uncertainty 0.8 [get_clocks clk_in],有大神跟我说过:CTS之后的skew最好小于200ps,所以我认为我的clock_tree需要进行skew_opt,但其结果如下:

Sourcing optimizations from "skew_opt.tcl":


--> sourcing set_clock_latency

--> sourcing set_inter_clock_delay_options

Warning: Clock clk_in already defined as part of balance group settings (CTS-800)


skew_opt completed successfully.


进行skew_opt之后的结果还是与之前的一样。然后我将clock_tree移除在做CTS之前将clock_latency移除,可是skew结果还是一样。我想请问各位大神:在CTS阶段该如何做才能将skew做到最小?或者说该如何优化skew?

对于一个10M的case, 0.8的skew有什么好怕的,go下去看sta再说吧

STA分析时hold time violation有2ns,大神说肯定是我clock_tree没做好

skew做到周期的10%以内即可

我的hold time violation为2ns是不是有点大啊?会不会跟clock_tree有关啊?有人说,其遇到的hold最多为0.09ns

不见得,你先看看-2的hold vio path的clock skew是多少。

也就是看寄存器CP端的clock_propagated是多少是吗?slack为-1.56ns时,其值是2.33ns

是看lauch path的clock network delay 和 capture path的clock network delay的差值,根据你之前的描述,这个差值应该是小于0.89,你还是贴一个report出来看比较清楚。

skew不是主要的,如果你真想调skew,可以将skew设置一个具体的值就好了,比如100ps,timing才是王道

============= Clock Tree Summary ==============

ClockSinksCTBuffers ClkCellsSkewLongestPath TotalDRCBufferArea

-----------------------------------------------------------------------------------

clk_in8061681710.9383.189219403.988

uut_filter/M2/clk_1

12324240.1030.79002399.040

uut_filter/M2/clk_2

12317170.0890.66301605.240


当skew如上时,STA部分结果如下:


pt_shell> report_timing -delay_type min

****************************************

Report : timing

-path_type full

-delay_type min

-max_paths 1

Design : Digital

Version: C-2009.06-SP3

Date: Thu Sep 29 12:07:22 2016

****************************************



Startpoint: rst_n1 (input port clocked by clk_in)

Endpoint: uut_Reset_Synchronizer/rst_n_reg

(removal check against rising-edge clock clk_in)

Path Group: **async_default**

Path Type: min


PointIncrPath

------------------------------------------------------------------------------

clock clk_in (rise edge)0.000.00

clock network delay (propagated)0.000.00

input external delay1.001.00 r

rst_n1 (in)0.22 &1.22 r

uut_Reset_Synchronizer/rst_n1 (Reset_Synchronizer)0.00 &1.22 r

uut_Reset_Synchronizer/U10/Z (an02d1)0.22 &1.44 r

uut_Reset_Synchronizer/rst_n_reg/CDN (dfcrq1)0.00 &1.44 r

data arrival time1.44


clock clk_in (rise edge)0.000.00

clock network delay (propagated)2.352.35

uut_Reset_Synchronizer/rst_n_reg/CP (dfcrq1)2.35 r

library removal time0.452.79

data required time2.79

------------------------------------------------------------------------------

data required time2.79

data arrival time-1.44

------------------------------------------------------------------------------

slack (VIOLATED)-1.35


意思是在set_clock_tree时将skew设为一个小值而不是默认值0对吗?这是为什么?

set_clock_tree时将skew保持为默认值0时做完CTS 时的报告如下:

============= Clock Tree Summary ==============

ClockSinksCTBuffers ClkCellsSkewLongestPath TotalDRCBufferArea

-----------------------------------------------------------------------------------

clk_in8061681710.9383.189219403.988

uut_filter/M2/clk_1

12324240.1030.79002399.040

uut_filter/M2/clk_2

12317170.0890.66301605.240



set_clock_tree时将skew设为0.1时,其余option与之前保持不变做完CTS 时的报告如下:


============= Clock Tree Summary ==============

ClockSinksCTBuffers ClkCellsSkewLongestPath TotalDRCBufferArea

-----------------------------------------------------------------------------------

clk_in8081881910.9253.513223390.625

uut_filter/M2/clk_1

12322220.0650.49702540.160

uut_filter/M2/clk_2

12324240.0680.47002681.280


skew确实有减小,但效果不是很好。0比0.1更小,为什么工具做出来的结果反而更差?

Startpoint: rst_n1 (input port clocked by clk_in)
input delay 改大点不就可以了 你改成2.4 input delay 试试

其外部的延时只有线延时,加长或加宽就可以了。修复hold的常用方法知道,现在不确定的是我的clock_tree到底有没有问题?

妳的clk_in 有兩個 DRC violation 在 clock network 沒解, max_cap 或 max_tran 看有無 clock cell driving 不夠應該要 sizing up.

所谓tree 是否 balance ,是看两个talk 的register ,不是看一个input port 和 register

那条路径中的rst是我整个底层模块输入,只通过线连接到整个设计的输入端口啊

这个不是我想问的重点,谢谢解答

所以 为了满足要求 ,你应该去调整rst线上的延迟的,而不是因为一条input to reg 的path 去调整整个 cts 。

那大牛告诉我说,hold violation为2ns是clock_tree的问题是否不成立?



假如inout 有2ns hold violations 呢?也是tree的问题?

真想去优化skew的话,还是去分析一下clock tree上为什么会有这么大的skew, 是因为时钟结构引起的还是设置的问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top