微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于Synopsys IC Compile 2012的安装问题

关于Synopsys IC Compile 2012的安装问题

时间:10-02 整理:3721RD 点击:
Synopsys IC Compile 2012已经成功安装,并且破解成功了,但是有个问题一直解决不了。本人新手,不是很懂这一类软件,望各位大神帮忙。
系统是CentOS 6.5-32位,在终端里面输入"icc_shell -gui",回复“icc_shell: command not found“,看了一些网友的帖子说是要让icc把目录设置在Synopsys的环境里面,但是我觉得我的环境变量没有问题啊...
我在icc的安装目录bin的文件下,利用“./icc_shell -gui”可以成功打开GUI,但是在别的位置都会提示“icc_shell: command not found”。
下面是我的环境变量,我采用的的是系统默认的Bshell,望各位大神指导,小弟感激不尽。
#########################################################################################################################################
# Cadence IC Design Systems----------------------------------------------------------------------------
#########################################################################################################################################
if ( ! $prompt ); then
exit
fi
# Cadence IC615--------------------
export CDS_DIR=/home/cadence/IC615
export CDS_HOME=/home/cadence/IC615
export CDS_ROOT=/home/cadence/IC615
export CDS_Netlisting_Mode=Analog
export PATH=$CDS_DIR/binCDS_DIR/tools/binCDS_DIR/tools/dfII/binCDS_DIR/tools/plot/bin:$PATH
export PATH=/sbin:$PATH
#----------------------------------------
# Cadence License--------------------
alias lmli_cadence='/home/cadence/IC615/tools/bin/lmgrd -c /home/cadence/IC615/share/license/license.dat'
export CDS_LIC_FILE=$EDI_HOME/share/license/license.dat:$PVS_HOME/share/license/license.dat:$ETS_HOME/share/license/license.dat:$RC_HOME/share/license/license.dat:$MMSIM_HOME/share/license/license.dat:$INCISIV_HOME/share/license/license.dat
# export LM_LICENSE_FILE=$CDS_DIR/share/license/license.dat
#----------------------------------------
# Cadence MMSIM101--------------------
export MMSIM_HOME=/home/cadence/MMSIN101
export SPECTRE_DEFAULTS=-E
export PATH=$MMSIM_HOME/tools/bin:$MMSIM_HOME/tools/spectre/bin:$PATH
#----------------------------------------
# Cadence ASSURA41--------------------
export ASSURAHOME=/home/cadence/ASSURA41
export PATH=$ASSURAHOME/bin:$ASSURAHOME/tools/bin:$ASSURAHOME/tools/assura/bin:$PATH
#----------------------------------------
# Cadence PVS131--------------------
export PVS_HOME=/home/cadence/PVS131
export K2_VIEWER=$PVS_HOME/tools/k2/Viewer
export K2_MASKCOMPOSE=$PVS_HOME/tools/k2/MaskCompose
export PATH=$PVS_HOME/bin:$PVS_HOME/tools/bin:$K2_MASKCOMPOSE/bin:$PATH
export XKEYSYMDB=$CDS_DIR/tools/plot/etc/XKeysymDB
#----------------------------------------
# Cadence ETS131--------------------
export ETS_HOME=/home/cadence/ETS131
export PATH=$ETS_HOME/bin:$ETS_home/tools/bin:$PATH
#----------------------------------------
# Cadence EDI120--------------------
export EDI_HOME=/home/cadence/EDI120
export PATH=$EDI_HOME/bin:$EDI_HOME/tools/bin:$PATH
#----------------------------------------
# Cadence RC121--------------------
export RC_HOME=/home/cadence/RC121
export PATH=$RC_HOME/tools.lnx86/bin:$PATH
#----------------------------------------
# Cadence INCISIV121--------------------
export INCISIV_HOME=/home/cadence/INCISIV121
export PATH=$INCISIV_HOME/bin:$INCISIV_HOME/tools/bin:$INCISIV_HOME/tools/vtools/vfault/bin:$PATH
export LD_LIBRARY_PATH=$INCISIV_HOME/tools/lib/64bit:$INCISIV_HOME/tools/lib
#----------------------------------------

export MOZILIA_HOME=/usr/bin/netscape
#########################################################################################################################################
# Cadence IC Design Systems ----------------------------------------------------------------------------
#########################################################################################################################################

#################################################################################################################################
# Mentor Graphics EDA----------------------------------------------------------------------------
#################################################################################################################################
# Mentor Graphics Calibre2011--------------------
export CALIBRE_HOME=/home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26
export MGC_HOME=/home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26
export PATH=$CALIBRE_HOME/bin:$PATH
export MGC_LIB_PATH=$CALIBRE_HOME/lib
export MGC_CALIBRE_SCHEMATIC_SERVER=ZBL:9199
export MGC_CALIBRE_LAYOUT_SERVER=ZBL:9189
export MGC_PDF_READER=evince
#----------------------------------------
# Mentor Graphics License--------------------
alias lmli_mentor1='/home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26/bin/lmgrd -c /home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26/shared/license/license.dat'
alias lmli_mentor2='/home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26/bin/lmstat -c /home/Mentor_Graphics/Calibre2011/x86_cal_2011.2_34.26/shared/license/license.dat'
export MGLS_LICENSE_FILE=$CALIBRE_HOME/shared/license/license.dat
#----------------------------------------
#################################################################################################################################
# Mentor Graphics EDA----------------------------------------------------------------------------
#################################################################################################################################

#########################################################################################################################################
# Synopsys IC Design System----------------------------------------------------------------------------
#########################################################################################################################################
export DISPLAY=unix:0
# Synopsys HOME--------------------
export SYNOPSYS=/home/synopsys
export VCS_HOME=$SYNOPSYS/vcs2012
export DC_HOME=$SYNOPSYS/dc2008
export ICC_home=$SYNOPSYS/icc2012
export HSP_HOME=$SYNOPSYS/hspice2012
export HE_HOME=$SYNOPSYS/hercules2008
#----------------------------------------
# Synopsys license--------------------
alias lmli_synopsys='/home/synopsys/scl11.4/linux/bin/lmgrd -c /home/synopsys/scl11.4/license/license.dat'
export SNPSLMD_LICENSE_FILE=48599@ZBL
#----------------------------------------
# Synopsys VCS--------------------
export VCS_HOME=$SYNOPSYS/vcs2012
export PATH=$VCS_HOME/bin:$VCS_HOME/linux/bin:$PATH
#----------------------------------------
# Synopsys DC--------------------
export DC_HOME=$SYNOPSYS/dc2008
export PATH=$DC_HOME/bin:$PATH
#----------------------------------------
# Synopsys ICC--------------------
export ICC_home=$SYNOPSYS/icc2012
export PATH=$ICC_HOME/bin:$ICC_HOME/cdpl/bin:$ICC_HOME/linux/bin:$PATH
#----------------------------------------
# Synopsys HSPICE--------------------
export HSP_HOME=$SYNOPSYS/hspice2012
export PATH=$HSP_HOME/hspice/bin:$HSP_HOME/hspice/cdpl/bin:$PATH
#----------------------------------------
# Synopsys HERCULES--------------------
export HE_HOME=$SYNOPSYS/hercules2008
export PATH=$HE_HOME/bin:$PATH
#----------------------------------------
#########################################################################################################################################
# Synopsys IC Design System----------------------------------------------------------------------------
#########################################################################################################################################

问题已经解决,注释掉.bashrc里面关于icc的内容,并删除干净icc,再重装,重新倒入license,恢复icc的内容,就正常了。

Thank you

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top