微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > output delay预算大小设置

output delay预算大小设置

时间:10-02 整理:3721RD 点击:
set_output_delay –max [expr 10 -$clk_to_q] –clock CLK[all_outputs]以上是我在书中看到的。我觉得这样设置过大了,这样留给要综合电路输出端口的最大延迟不就很小了?就只有clk_to_q,而这clk_to_q还应该有后一级设计的Tsetup。
请教一下大家是怎么看待这一设置的?

70% clock period,经验值

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top