微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于MMMC文件

关于MMMC文件

时间:10-02 整理:3721RD 点击:
ENCOUNTER13.1 Design Import时MMMC View Definition File这个文件如何生成?

可以通过以下步骤生成(encounter):
1.create_library_set-nameAA-timing**.lib##设置下lib库
2.create_rc_corner-nameBB-qx_tech_file**-T **
3.create_delay_corner-name CC -libraryAA -rc_cornerBB
4.set_timing_derate -early-delay_corner CC1.0?
5.set_timing_derate -late-delay_corner CC1.10?
6.create_constraint_mode -name DD -sdc_file**.sdc
7.create_analysis_view -name EE -delay_corner CC -constraint_mode DD
所以就得到一个analysis_mode EE了,以此方法可得到不同的模式。

对, 看user guide
edi的mmmc 文件还是很好写的,写一遍就不会忘记

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top