微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > dc unit conflict

dc unit conflict

时间:10-02 整理:3721RD 点击:
设计中需要读入别家提供的ddc文件,使用的是dc gtech.db。但与我的main library中电容的单位冲突,请问有什么简洁的方法可以解决?

算warning吧,不会导致读入失败吧

是Error。
读取ddc的目的是为了把别人插入的clock gating cell remove掉,
用compile_ultra -gate_clock,插入main library中提供的clock gating cell。
因getch库中没有现成clock gating cell,之前的clock gating cell是用latch实现的。
为了避免APR时的麻烦,不显式的使用latch。
我的解决办法是,不读入ddc,直接把netlist中的clock gating cell替换掉再综合。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top