微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter中test_mode下的时钟树怎么做?

encounter中test_mode下的时钟树怎么做?

时间:10-02 整理:3721RD 点击:

我现在遇到的问题是:CLKA和CLKB在funcktion mode下是2个异步时钟, 而在test mode下这2个时钟都是使用test_clk来 bypass掉的,
当在function mode下完成CTS之后, opt timing之后, 我想在test_mode 下做CTS, 但是encounter 会因为, test clk 与CLKA, CLKB有相同的部分或者说路径(这些相同路径已经在function mode 时做过CTS), 而不会去再做CTS, 而不做CTS, 在test mode下, setup有很多violation,data path 路径非常长的, 很难fix的,

我本来想从test_clkport做CTS, 然后在那些test clk与CLKA, CLKBbypass的pin作为sync pin的 , 但是发现综合的时候, 这些bypass的位置都综合成组合逻辑了, 有点麻烦,
想请教给位, 这个样的情况下, test mode 下, CTS怎么做?或者说怎样来fixtest clk timing的问题?

谢谢

要么用MMMC方式
要么把它们切成几段,test_clk --> muxa/b, clka --> muxa, clkb --> muxb, muxa/b --> FF,控制ctstch把他们都balance
还可以用2个test clock

嗯 MMMC是好方法。
如果是两个mode, 那么test_mode下CLKA和CLKB原本不相关的reg会形成reg2reg path, timing问题一大堆。
如果不使用MMMC的话, 可否只有一个mode, 这个mode下有CLKA, CLKB和test_clk,相当于增加一个clock pin。

encounter中MMMC的constraint modes要怎么区分设置function mode和test mode呢?sdc不一样是吗?添加set_case_analysis命令吗?

test mode下面有setup问题,是什么case啊?

学习一下~

test mode 需要跑很快么?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top