微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > pt中set_operating_condition的问题

pt中set_operating_condition的问题

时间:10-02 整理:3721RD 点击:
我有3个库文件TT,SS,FF,将TT设为link_path.然后read_db读入SS,FF库文件;
set_operating_condition -max_lib SS -max SS -min_lib FF -min FF -analysis_type on_chip_variation;
之后report_timing ,出来的结果跟不设set_operating_condition差不多,而不是想象中的在计算max路径时,lanch的clockpath用SS的库计算,capture的clockpath用FF的库计算
另外单独link_path用SS库的话,report_timing出来的结果比上面慢很多
总结下来就是set_operating_condition -max_lib SS -max SS -min_lib FF -min FF 没用,这是我理解的问题还是工具设置的问题呢?

加上-analysis_type on_chip_variation试试

版大,已经加了这个选项,还是没效果啊.
有一个问题,在design link之后所有标准单元的参考库都是TT,是不是这个原因pt不能同时用SS,FF的库来做时序分析呢?

试一试:
set link_pathslow.db
set_min_libraryslow.db-min_versionfast.db
set_operating_conditions -analysis_type on_chip_variation -max slow-min fast

是你的脚本的问题,几个库没有设计对,虽然在operating_condition中加上了-max和min的库
但是需要多一个set_min_library,工具在分析某条路径的max delay的时候,此min_library去替换,计算hold time

试了一下果然好使,感谢指导

玩出来了,我来泼一盆冷水
STA时,一般不会“lanch的clockpath用SS的库计算,capture的clockpath用FF的库计算”
原因在以前的帖子里面讨论过

求地址,学习一下

小编有给地址吗?或者你找到了吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top