微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 为什么我在check_library时,dc总有这样的错误!?

为什么我在check_library时,dc总有这样的错误!?

时间:10-02 整理:3721RD 点击:
error:cannot open cell*.FRAMfor read

all logic cellsin...are missingphysical library

logic libisinconsistent withlogical lib !

但是我的mw reference lib下 有 FRAM文件 啊

是不是权限的问题? 求大神帮你解释吧

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top