微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > pt的transition report

pt的transition report

时间:10-02 整理:3721RD 点击:
请教各位大侠:
1 最近遇到个奇怪问题,在sdc里设的max_transition是2.95ns,但是在pt的report里面,max_transition却变成了1.475ns,刚好是一半。找了很久也没有找到问题所在,不知各位有没有类似问题?
2, 一般我们只修transition的violation,为什么却不修capacitance的violation呢?这个一直不太明白。还请大牛们帮小弟解解惑。
谢过先。

transition就是根据cap计算出来的

看看库里的默认值是多少,或者是否还有其他可能会覆盖你设的值的地方。
个人理解都要修,因为时序是靠着二者计算出来的,有一个不真实的值,计算出来的时序就是虚假的,

我也认同3楼的观点,但是我所任职的两家公司,都没有修capacitance的violation,疑惑Ing

transition 在综合&apr 都可以优化的。
具体capacitance的violation,应该看看你的设计指标,还有你violation的路径
比如 frequency :10M,transion = 10ns 可能也不回影响到系统的功能
frequency :200M,transion = 2ns setup/hold 就比较麻烦
很多路径不在关键路径上面,也不用太苛刻
具体问题还是得具体分析,不过高速设计还是得注意此类问题。

当然,在满足系统功能的基本上,可以适当把transiton 做大一点(留点冗余)
这样crosstalk,面积,功耗 都会小一些
一点拙见。

谢谢大家的帮忙。同仁们在project中有没有去修capacitance的violation?

yes We only fixed transition

您的意思是时钟频率在10M的时候,max_transition可以设为10ns?
谢谢!

感觉cap和trans应该是相关的,你的trans小了,自然就减小的cap,对于一些特殊的pin,可能需要特殊处理,不知道这么理解对不对!

你可以试试把sdc里面的max trans挡掉,看report出来是否是正确的,有时候可能是tool的问题!

1.475ns看一下是不是重.lib抓的
在SDC裡設max_trainsition是針對沒有constraint到的值
你這個應該是在.lib裡有constraint了
2.max_capacitance也要修呀,tapeout check list會檢查呀
向一些boundary 的port都會掛一個loading那個可以不用修嗎?
以後你的CHIP就推不動外部IC了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top