微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > dc综合后的一些警告

dc综合后的一些警告

时间:10-02 整理:3721RD 点击:

今天跑了dc综合,出现了一些警告,归结起来是以下两个类型
1.Warning: In design 'digit', the same net is connected to more than one pin on submodule 'tag_state_fsm'. (LINT-33)
Net 'emod_0_' is connected to pins 'shift_bit_cnt[3]', 'shift_byte[7]', 'shift_byte[6]', 'shift_byte[5]', 'shift_byte[4]', 'shift_byte[3]', 'shift_byte[2]', 'shift_byte[1]', 'shift_byte[0]'.
2.Warning: In design 'tag_state_fsm', port 'shift_bit_cnt[3]' is not connected to any nets. (LINT-28)
其中,
信号emod_0_是一个固定在逻辑0的信号;
shift_bit_cnt[3],在tag_state_fsm模块中只是做了某个if(shift_bit_cnt[3])的使用
对于第一个warning的情况,如shift_byte[7:0]在多个模块中使用,但是在某个模块里面只是做了input,但是没有做任何的used和assigned。是不是因为这个愿意才出的这些警告?

感谢大家的帮忙,谢谢!

没关系吧,就是些warning,综合完了 仿真下, formal下看看

多谢,我形式验证下是正确的了。
但是这些warning看着感觉不对,因为说某些信号和什么什么信号连接了,如果按照警告这样连接,那绝对是出错的

1. 连接到多个pin,这个你可以查看网表,看看逻辑是否符合你的要求
2。有net 悬空。

第一个警告我知道原因了,但是第二个我觉得不合理,这个shift_bit_cnt[3],被我在一个某快中做了一个if(shift_bit_cnt[3])的判断,这可以作为悬空来理解吗?

lz能把fsm的rtl写下么?看看什么样的电路出来这样的warning

我也是遇到过这样的问题 但是我基本上都是不管的因为有些模块我是要重复使用的 但是重复使用的过程中又有些管脚是不用的 我也不要因为这几个管脚再重新写程序 所以就出现了2的问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top