微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于dc下create_genereated_clock时提示的错误

关于dc下create_genereated_clock时提示的错误

时间:10-02 整理:3721RD 点击:
使用命令
create_generated_clock -divide_by x -name clk32 -source clk [get_pins inst1/inst2/clk32]
使用者命令前已经过能够看到当前的current_design是最顶层TOP_D
代码结构是TOP_D下包含inst1,inst1下包含inst2,且inst1和inst2都是例化的名字。
clk32是inst2的输出output pin
此命令执行后dc返回错误,cant find a source target,意在说明无法找到某个pins 为inst1/inst2/clk32.
因此我尝试将current_design inst1,使用命令get_pins inst2/clk32,此时返回正确信息“1”。表示可以找到这个pins。但是在inst1下面是无法对create_generated_clock 得到-source clk的,因为clk是TOP_D下,系统的外部输入clk。且已经通过create_clock定义了。
想问问这个问题大致会有什么原因导致?我一直认为错误的原因一般是我的clk32的路径有错,但是我查了很久都没有觉得错误。

找到原因了,是因为TOP_D例化inst1时,由于TOP_D中例化的inst1接口和inst1定义时的接口数量不一致,所欲遇到问题。
也就解释了为何inst1为currenct design时,inst2/clk32,可找到这个pin

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top