微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > primetime问题

primetime问题

时间:10-02 整理:3721RD 点击:
已经没有违例了,如何report所有的path呀?

slack_greater_than

你好!还是报不出来呀,
现在没violation了,报的是“No constrained paths. 1"
我想report所有path。谢谢

把约束加大一点看行不行

把你用的command贴出来

解决了,是link design错了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top