微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 菜鸟求助:Astro CTS问题

菜鸟求助:Astro CTS问题

时间:10-02 整理:3721RD 点击:

在做时钟树的时候,Clock Nets导入本来有两个时钟的,但是research的时候只能出现一个,最终导致error。请问问题可能出在哪啊

补充上错误,请指正

WARNING : The number of driver or node is zero in net AS0832_TOP_CORE_DUT/CLK_D

WARNING : WDC cannot calculate AWE delay for net AS0832_TOP_CORE_DUT/CLK_D

ERROR : net AS0832_TOP_CORE_DUT/CLK_D has no driving pin

自己伤心的顶一个

应该把情况写明白一点,最好抓图看看

您可以把error message貼上來嗎?這樣比較容易了解發生什麼問題了

错误截图已附上,请指正

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top